Cisco Cisco Nexus 5010 Switch Libro bianco

Pagina di 5
 
 
© 2014 Cisco and/or its affiliates. All rights reserved. This document is Cisco Public Information. 
Page 2 of 5 
Figure 1.    Latency Monitoring 
 
In Figure 1, when a packet enters the source port, it is marked with a time stamp (t0). When it exits the destination 
port, the time stamp is marked again (t1). The latency monitoring tool essentially provides the time (t1 - t0). 
Switch Latency Measurement Modes 
The following modes can be configured between ingress and egress port pairs: 
● 
Instantaneous mode: This is the mode enabled by default. No configuration is needed to see latency values 
in this mode. This mode is useful for getting a quick snapshot of port-pair latency. 
● 
Linear Histogram mode: This mode is useful for seeing the number of packets that had the user-configured 
latency value. 
● 
Exponential Histogram mode: This mode provides an exponential view of the linear histogram mode. 
● 
Custom Histogram mode: This mode displays the number of packets that are in the low-latency range and 
the number of packets that are in the high-latency range. 
Instantaneous Mode 
In Instantaneous mode, the minimum, maximum, and average latency can be collected. This mode is enabled by 
default. No configuration is required. 
Following is a sample of latency measurement output in Instantaneous mode. Eth 1/1 is the egress port and 1/6 is 
the ingress port. 
switch# show hardware profile latency monitor interface ethernet 1/1 interface 
ethernet 1/6 
-------------------------------------------------------------------------------- 
Egress Port: Ethernet1/1 Ingress Port: Ethernet1/6 Mode: Inst 
-------------------------------------------------------------------------------- 
|          |       Minimum       |       Maximum         |     Average         | 
-------------------------------------------------------------------------------- 
|  cnt  |                   890 |                      1020|           960     | 
--------------------------------------------------------------------------------