Siemens 6ED1055-1MD00-0BA1 - 6ED1055-1MD00-0BA1 データシート

製品コード
6ED1055-1MD00-0BA1
ページ / 282
 
LOGO! Funktionen 
 
 
4.4 Liste Sonderfunktionen - SF 
LOGO! 
Gerätehandbuch, 11/2009, A5E01248534-04 
123
 
4.4.3 
Ein/Ausschaltverzögerung 
Kurzbeschreibung 
Bei der Ein-/Ausschaltverzögerung wird der Ausgang nach einer parametrierbaren Zeit 
durchgeschaltet und nach einer parametrierbaren Zeit zurückgesetzt. 
 
Symbol bei LOGO! 
Beschaltung 
Beschreibung 
Eingang Trg 
Mit der steigenden Flanke (Wechsel von 0 nach 1) 
am Eingang Trg (Trigger) starten Sie die Zeit T
H
 für 
die Einschaltverzögerung. 
Mit der fallenden Flanke (Wechsel von 1 nach 0) 
starten Sie die Zeit T
L
 für die Ausschaltverzögerung. 
Parameter 
T
H
 ist die Zeit, nach der der Ausgang eingeschaltet 
wird (Ausgangssignal wechselt von 0 nach 1). 
T
L
 ist die Zeit, nach der der Ausgang ausgeschaltet 
wird (Ausgangssignal wechselt von 1 nach 0). 
Remanenz:  
/ = Keine Remanenz 
R = Der Zustand wird remanent gespeichert. 
4
7UJ
3DU
 
Ausgang Q 
Q schaltet nach Ablauf der parametrierten Zeit T
H
 ein, 
wenn dann Trg noch gesetzt ist. Q schaltet nach 
Ablauf der Zeit T
L
 aus, wenn Trg inzwischen nicht 
wieder gesetzt wurde. 
Parameter T
H
 und T
L
 
Beachten Sie die Wertvorgaben für die Parameter T
H
 und T
L
Die Zeitvorgaben für die Ein- und Ausschaltverzögerung für die Parameter T
H
 und T
L
 können 
auch Aktualwerte einer bereits programmierten anderen Funktion sein. Sie können die 
Aktualwerte folgender Funktionen verwenden:  
●  Analogkomparator (Seite 160) (Aktualwert Ax - Ay) 
●  Analoger Schwellwertschalter (Seite 155) (Aktualwert Ax) 
●  Analogverstärker (Seite 167) (Aktualwert Ax) 
●  Analoger Multiplexer (Seite 187) (Aktualwert AQ) 
●  Rampensteuerung (Seite 189) (Aktualwert AQ) 
●  Analoge Arithmetik (Seite 202) (Aktualwert AQ) 
●  Regler (Seite 194) (Aktualwert AQ) 
●  Vor-/Rückwärtszähler (Seite 146) (Aktualwert Cnt) 
Die gewünschte Funktion wählen Sie über die Blocknummer aus. Die Zeitbasis ist 
einstellbar. Weitere Informationen finden Sie bei den Ausführungen zu den 
Gültigkeitsbereichen der Zeitbasis und zur Parametervorgabe unter Einschaltverzögerung